Become a MacRumors Supporter for $50/year with no ads, ability to filter front page stories, and private forums.

enc0re

macrumors 6502
Original poster
Jun 7, 2010
391
618
For M1 Ultra, Apple connected two M1 Max using a die-to-die interconnect they branded UltraFusion. Interestingly, I remember reading about this "hidden feature" before M1 Ultra was announced with some technical websites noticing the suspicious edge on the M1 Max chip in die shots.

Now that M2 Max is out, do the die shots show what is happening with UltraFusion? Is it still there? Is it still on just one side, which would allow for an 2x Ultra but not a 4x Extreme?

I don't know enough about silicon to tell from the released pictures. And for that matter, I wouldn't be at all surprised if Apple cropped the official pictures to keep that particular card closer to the vest than last time.
 

deconstruct60

macrumors G5
Mar 10, 2009
12,309
3,902
For M1 Ultra, Apple connected two M1 Max using a die-to-die interconnect they branded UltraFusion. Interestingly, I remember reading about this "hidden feature" before M1 Ultra was announced with some technical websites noticing the suspicious edge on the M1 Max chip in die shots.

hard to tell.

When the M1 Max was introduced Apple said it looked like


Die-Sizes_575px.jpg




then by the time of Ultra they switched up and said the M1 Max actually looked like (and implictily photoshopped the first die shot they used. )


Apple-M1-chip-family-lineup-220308_big.jpg.large_2x.jpg



Although the M1 Max is flipped vertically from the M1 Max in the first picture. M1 , M1 Pro with basic I/O (Thunderbolt , DisplayPort ,etc) on 'top'. Singular M1 Max flipped so it would be in same orientation as 'bottom' Max in the Ultra picture.



For M2 Max intro

Screen Shot 2023-01-25 at 5.16.22 PM.png



So back to pretended that the M1 Max does not have a UltraFusion connector. M2 Max is wider and taller, but the major usage along each edge is the basically the same. ( and the UltraFusion connector is about as tall as that height difference. )

Is that because the M2 Max doesn't have one so 'normalizing' no UltraFusion connector present? Or out to 'delight and surprise' customers by pulling another un-photoshopped image out of the bag? Since they engaged in deliberate deception before, it is somewhat dubious to put any trust into the images. Only thing certain is that they are trying to deceive the viewer ( at minimum that is not a picture of a M1 Max. So at least falsehood up there. The only question is whether it is a helpful connotation falsehood to managed expectations or same old trick. )


If Apple had a quad die solution that they cancelled very late in the rollout then it would not be hard at all to use just two die to implement something in the "Ultra Class". They do not necessarily need to use the M2 Max . In fact, since the M2 Max is bigger (and more expensive) than the M1 Max you might not want to use the M2 Max (i.e. if there is photoshopped out UltraFusion edge in that photo it is even bigger that the M1 Max. )

That isn't a long shot. The M1 Ultra barely squeaked under the 1x reticle limit for TSMC InFO-LSI packaging technology. The M2 Max is even bigger which could have 'busted the size limit'. The 4 die solution was going to require CoWoS-LSI anyway. So if Apple was already putting effort into confirming design on CoWoS-LSI anyway ... why wouldn't they do a two die solution with the same tech using the same die building blocks. Even more so if it is all stuck on TSCM N5P with die bloat.

If the M2 Max had gotten smaller then sticking with the exact same approach with the exact same packaging technology would be far more straightforward. M2 Max went in the opposite direction.


If someone x-rays and carves the die out of the package it should show up later.






Now that M2 Max is out, do the die shots show what is happening with UltraFusion? Is it still there? Is it still on just one side, which would allow for an 2x Ultra but not a 4x Extreme?

Highly unlikely there are two missing UltraFusion connectors on that M2 Max screen shot. There is not much I/O on the bottom edge just like the altered M1 Max photo in that image. There is memory I/O along the 'long' sides. There is Thunderbolt and nominal I/O along the 'top' (as with the M1 series ).


If it is just one size and the 4 die "Extreme" was left with only using its own , low volume dies then its 'death' due to 'not economical enough' is all the less surprising. One way to amortize that R&D over more packages sold would be using the same building blocks for the 2 and 4 die solutions.

Apple could use just one connector on each to connect the four but the NUMA latencies for the GPU would likely be 'ugly' and the CPU NUMA latencies annoyingly awkward. The end user value versus the costs would be dubious.


I don't know enough about silicon to tell from the released pictures. And for that matter, I wouldn't be at all surprised if Apple cropped the official pictures to keep that particular card closer to the vest than last time.

Playing the photoshop image trick a second time does what? People have already seen the trick once. It will be more impressive the second time? Flip flopping on what a M1 Max looks like fools who???? This just looks like a 'Joe Isuzu' commercial spin job. Lying; the question is how much ( or if it is entertaining or funny ).



Apple sticking with N5P for the M2 and M2 Pro makes some sense. M2 Max is a slipperly slope. For the monolithic deployments (1 die) sure (battery life will probably drop under a full/complex load but decent gains availalble). But for the 2+ dies not so much. And the M1 Max was already too chunky. An even bigger die is even more chunky.
 
  • Like
Reactions: ekwipt

Jorbanead

macrumors 65816
Aug 31, 2018
1,206
1,434
hard to tell.

When the M1 Max was introduced Apple said it looked like


Die-Sizes_575px.jpg




then by the time of Ultra they switched up and said the M1 Max actually looked like (and implictily photoshopped the first die shot they used. )


Apple-M1-chip-family-lineup-220308_big.jpg.large_2x.jpg



Although the M1 Max is flipped vertically from the M1 Max in the first picture. M1 , M1 Pro with basic I/O (Thunderbolt , DisplayPort ,etc) on 'top'. Singular M1 Max flipped so it would be in same orientation as 'bottom' Max in the Ultra picture.



For M2 Max intro

View attachment 2148256


So back to pretended that the M1 Max does not have a UltraFusion connector. M2 Max is wider and taller, but the major usage along each edge is the basically the same. ( and the UltraFusion connector is about as tall as that height difference. )

Is that because the M2 Max doesn't have one so 'normalizing' no UltraFusion connector present? Or out to 'delight and surprise' customers by pulling another un-photoshopped image out of the bag? Since they engaged in deliberate deception before, it is somewhat dubious to put any trust into the images. Only thing certain is that they are trying to deceive the viewer ( at minimum that is not a picture of a M1 Max. So at least falsehood up there. The only question is whether it is a helpful connotation falsehood to managed expectations or same old trick. )


If Apple had a quad die solution that they cancelled very late in the rollout then it would not be hard at all to use just two die to implement something in the "Ultra Class". They do not necessarily need to use the M2 Max . In fact, since the M2 Max is bigger (and more expensive) than the M1 Max you might not want to use the M2 Max (i.e. if there is photoshopped out UltraFusion edge in that photo it is even bigger that the M1 Max. )

That isn't a long shot. The M1 Ultra barely squeaked under the 1x reticle limit for TSMC InFO-LSI packaging technology. The M2 Max is even bigger which could have 'busted the size limit'. The 4 die solution was going to require CoWoS-LSI anyway. So if Apple was already putting effort into confirming design on CoWoS-LSI anyway ... why wouldn't they do a two die solution with the same tech using the same die building blocks. Even more so if it is all stuck on TSCM N5P with die bloat.

If the M2 Max had gotten smaller then sticking with the exact same approach with the exact same packaging technology would be far more straightforward. M2 Max went in the opposite direction.


If someone x-rays and carves the die out of the package it should show up later.








Highly unlikely there are two missing UltraFusion connectors on that M2 Max screen shot. There is not much I/O on the bottom edge just like the altered M1 Max photo in that image. There is memory I/O along the 'long' sides. There is Thunderbolt and nominal I/O along the 'top' (as with the M1 series ).


If it is just one size and the 4 die "Extreme" was left with only using its own , low volume dies then its 'death' due to 'not economical enough' is all the less surprising. One way to amortize that R&D over more packages sold would be using the same building blocks for the 2 and 4 die solutions.

Apple could use just one connector on each to connect the four but the NUMA latencies for the GPU would likely be 'ugly' and the CPU NUMA latencies annoyingly awkward. The end user value versus the costs would be dubious.




Playing the photoshop image trick a second time does what? People have already seen the trick once. It will be more impressive the second time? Flip flopping on what a M1 Max looks like fools who???? This just looks like a 'Joe Isuzu' commercial spin job. Lying; the question is how much ( or if it is entertaining or funny ).



Apple sticking with N5P for the M2 and M2 Pro makes some sense. M2 Max is a slipperly slope. For the monolithic deployments (1 die) sure (battery life will probably drop under a full/complex load but decent gains availalble). But for the 2+ dies not so much. And the M1 Max was already too chunky. An even bigger die is even more chunky.
I really wouldn’t put much weight into marketing screenshots.

The people who noticed additional silicon on the M1 Max saw it on a non-Apple provided die shot. The ones in their marketing have all been photoshopped to an extent (I.E they don’t actually have a pretty gradient color on them for example).

What I’m curious about is has anyone broken down the M2 max and taken a die shot?
 
Register on MacRumors! This sidebar will go away, and you'll see fewer ads.